Flow Implements Intelligent Energy Management

March 31, 2005
To address the need for low leakage and reduced dynamic power drain in portables, ARM and Synopsys have partnered on a reference design flow for the ARM11 family of processors, which implements ARM's Intelligent Energy Manager (IEM) technology. When use

To address the need for low leakage and reduced dynamic power drain in portables, ARM and Synopsys have partnered on a reference design flow for the ARM11 family of processors, which implements ARM's Intelligent Energy Manager (IEM) technology. When used with ARM's Artisan low-power library, IEM technology can reduce an ARM11 processor's power consumption by up to 60%.

IEM technology performs dynamic voltage scaling based on software-based analysis of the system's processor utilization. That analysis is used to predict the system's future performance requirements. The IEM's hardware component then translates that performance prediction into an appropriately scaled voltage.

Built on Synopsys' Galaxy design platform, the ARM-Synopsys Galaxy reference methodology for IEM includes an enhanced methodology guide and scripts that express best design practices.

The IEM reference methodology for the ARM1176JZ-S and JZF-S cores will be available at the end of the first quarter from ARM. It also will be applied to other IEM-enabled ARM cores, including the ARM11 MPCore multiprocessor.

ARM www.arm.comSynopsyswww.synopsys.com

Sponsored Recommendations

Design AI / ML Applications the Easy Way

March 29, 2024
The AI engineering team provides an overview and project examples of the complete reference solutions based on RA MCUs that are designed for easy integration of AI/ML technology...

Ultra-low Power 48 MHz MCU with Renesas RISC-V CPU Core

March 29, 2024
The industrys first general purpose 32-bit RISC-V MCUs are built with an internally developed CPU core and let embedded system designers develop a wide range of power-conscious...

Asset Management Recognition Demo AI / ML Kit

March 29, 2024
See how to use the scalable Renesas AI Kits to evaluate and test the application examples and develop your own solutions using Reality AI Tools or other available ecosystem and...

RISC-V Unleashes Your Imagination

March 29, 2024
Learn how the R9A02G021 general-purpose MCU with a RISC-V CPU core is designed to address a broad spectrum of energy-efficient, mixed-signal applications.

Comments

To join the conversation, and become an exclusive member of Electronic Design, create an account today!