EDA Update: C-To-VHDL High-Level Synthesis Tool

Jan. 19, 2004
A C-TO-VHDL high-level synthesis tool is now downloadable from the Center for Embedded Computing Systems of the University of California, Irvine. Called SPARK, it takes the behavior of an application specified in C as input (with some restrictions)...

A C-TO-VHDL high-level synthesis tool is now downloadable from the Center for Embedded Computing Systems of the University of California, Irvine. Called SPARK, it takes the behavior of an application specified in C as input (with some restrictions) and produces VHDL code. Several parallelizing compiler, compiler, and high-level synthesis transformations are used to generate a scheduled, resource-bound data path along with a finite state-machine controller. SPARK has been benchmarked on a range of multimedia and image-processing designs as well as through a case study with an Intel design. The download page features binaries for Solaris and Linux platforms, a user manual, and a tutorial with an MPEG-1 player as an example. See www.cecs.uci.edu/~spark/download.shtml for details.

Sponsored Recommendations

Design AI / ML Applications the Easy Way

March 29, 2024
The AI engineering team provides an overview and project examples of the complete reference solutions based on RA MCUs that are designed for easy integration of AI/ML technology...

Ultra-low Power 48 MHz MCU with Renesas RISC-V CPU Core

March 29, 2024
The industrys first general purpose 32-bit RISC-V MCUs are built with an internally developed CPU core and let embedded system designers develop a wide range of power-conscious...

Asset Management Recognition Demo AI / ML Kit

March 29, 2024
See how to use the scalable Renesas AI Kits to evaluate and test the application examples and develop your own solutions using Reality AI Tools or other available ecosystem and...

RISC-V Unleashes Your Imagination

March 29, 2024
Learn how the R9A02G021 general-purpose MCU with a RISC-V CPU core is designed to address a broad spectrum of energy-efficient, mixed-signal applications.

Comments

To join the conversation, and become an exclusive member of Electronic Design, create an account today!