IC Development Platform Integrates Proven Tools, Best Practices

April 20, 2009
Who’s got time to cobble together design flows from various vendors? Synopsys now offers the Lynx all-inclusive design system. And if the notion of procuring a design flow from a single vendor appeals, the Lynx Design System has some compelling points in

The economy has put IC design houses in a severe bind. Even as getting new products to market on time becomes more critical than ever, most design projects run late. This owes largely to the fact that system-on-a-chip (SoC) design complexity, in gate-count terms, grows at a rate of 20% or more per year. Further impacting the design complexity growth is the need to incorporate a growing roster of power-management circuitry.

With all this complexity to wrestle with, who’s got time these days to cobble together design flows from various vendors? Debating the pros and cons of being locked into a single EDA vendor is a topic for a different article. This one’s about Synopsys’ latest effort at an all-inclusive design system, which it’s calling Lynx. And if the notion of procuring a design flow from a single vendor appeals, the Lynx Design System has some compelling points in its favor.

At the heart of the Lynx Design System is Synopsys’ production design flow, which rests on a foundation of best practices and recommended methodologies for the best ways to drive tools such as Design Compiler. On top of that foundation are more than 45 built-in methodologies that cut across tools.

These methodologies address facets of design such as power management and design for manufacturing/design for yield (DFM/DFY), as well as consistent timing modeling. The system also incorporates the body of work that Synopsys has completed with ARM on a reference flow for hardening ARM’s soft processor intellectual property (IP) into macros for implementation.

The flow is optimized at technology nodes down to 32 nm. It has been tested at various nodes at multiple foundries with validated libraries and tech files. Over 60 specific tapeout checks are specified. For example, it’s verified that via optimization rates conform to a given foundry’s rules. More than 50,000 regressions are run against the flow every other day to ensure that it stays up to date and as watertight as possible.

A key element of the flow is the runtime manager, a Synopsys GUI-based application that enables easy drag-and-drop configuration of the flow and its constituent tools. Want to skip a signal integrity check in a preliminary run? It’s simply a matter of literally cutting it out of a flow diagram. Doing so causes the flow to automatically reconfigure itself to account for the deletion.

The runtime manager automates execution of the flow and enables it to concurrently operate on multiple blocks. Block status is reported with intuitive color coding. The runtime manager supports batch or GUI execution with automated replay of portions requiring examination.

Another important part of the Lynx Design System is what Synopsys is calling the Foundry-Ready System (FRS). Most design projects pull together blocks of IP from numerous sources. These blocks aren’t necessarily compatible with each other. The FRS uses built-in utilities to check the blocks to make sure views are consistent. If they aren’t, the blocks are flagged so you can take the necessary action to get them configured correctly.

When it comes to tapeouts, the FRS is equipped with process-specific methodologies and checks that are configurable to specific foundries and design requirements. This is set up on a custom basis when Synopsys deploys the Lynx Design System to a customer’s site. After analyzing the customer’s design environment and what foundry it uses, Synopsys tailors the FRS technology to make handoffs as clean as possible.

A final key element of the Lynx system is the management cockpit, which provides transparency into the design flow at all levels. Running on the design manager’s PC and/or designers’ Unix workstations, the cockpit automatically collects metrics on more than 50 different aspects of the design flow.

Metrics such as resource utilization, block area, worst-case negative slack, and power budgets are all captured and gathered into an SQL database that can be queried in real time. The system comes packaged with a selection of canned report formats but is very flexible. Users can employ tags to define variables and track them.

The Lynx Design System is available now.

Synopsys

www.synopsys.com

Sponsored Recommendations

Near- and Far-Field Measurements

April 16, 2024
In this comprehensive application note, we delve into the methods of measuring the transmission (or reception) pattern, a key determinant of antenna gain, using a vector network...

DigiKey Factory Tomorrow Season 3: Sustainable Manufacturing

April 16, 2024
Industry 4.0 is helping manufacturers develop and integrate technologies such as AI, edge computing and connectivity for the factories of tomorrow. Learn more at DigiKey today...

Connectivity – The Backbone of Sustainable Automation

April 16, 2024
Advanced interfaces for signals, data, and electrical power are essential. They help save resources and costs when networking production equipment.

Empowered by Cutting-Edge Automation Technology: The Sustainable Journey

April 16, 2024
Advanced automation is key to efficient production and is a powerful tool for optimizing infrastructure and processes in terms of sustainability.

Comments

To join the conversation, and become an exclusive member of Electronic Design, create an account today!