Chiradech-Chotchuang_dreamstime_151731753
Chiradech Chotchuang Dreamstime L 151731753

Conquer Signal and Power Challenges When Implementing GDDR6 Interfaces (Download)

April 22, 2022

Read this article online.

Graphics processing units (GPUs) and graphics double-data-rate (GDDR) memory interfaces are essential to graphics cards, game consoles, high-performance computing (HPC), and machine-learning applications. Signal integrity (SI) and power integrity (PI) are becoming intertwined with the thermal issues caused by ultra-fast data-transfer rates, ultra-low-voltage swings, and high-density GDDR6 designs that are often implemented on silicon interposers.

This article describes how power-aware SI analysis and thermal-aware PI analysis are used as part of a system design and signoff methodology for GDDR6 designs. Such designs enable data-transfer speeds of over 665 GB/s today and will continue to support such speeds of well over a terabyte per second (TB/s) in next-generation GDDR interfaces.

Comments

To join the conversation, and become an exclusive member of Electronic Design, create an account today!